irpas技术客

【校招Verilog手撕代码】VL3、循环仲裁器设计(Round Robin Arbiter)_verilog round robin_ReCclay

网络 7328

文章目录 一、题目(真题) 二、题解 2.1、理论知识 2.2、实现思路 2.2、代码实现 关于RR仲裁器的一道真题 参考

循环仲裁器又可以称之为轮询仲裁器

一、题目(真题)

乐鑫的笔试真题(2022.6.30),题目来源:https://blog.csdn.net/ReCclay/article/details/125701235

题目描述:使用Verilog/SystemVerilog写一个循环优先级(Round Robin)仲裁器,要求仲裁器的请求与个数参数化,并给出请求数目为2的TestBench。

循环优先级仲裁器的规则是:

1)当只有一个请求时,直接响应该请求; 2)当多于一个请求时,按照优先级响应其中一个请求; 3)初始时,req[0]优先级最高,reg[N-1]的优先


1.本站遵循行业规范,任何转载的稿件都会明确标注作者和来源;2.本站的原创文章,会注明原创字样,如未注明都非原创,如有侵权请联系删除!;3.作者投稿可能会经我们编辑修改或补充;4.本站不提供任何储存功能只提供收集或者投稿人的网盘链接。

标签: #verilog #Round #Robin #arbiter